国产毛片a精品毛-国产毛片黄片-国产毛片久久国产-国产毛片久久精品-青娱乐极品在线-青娱乐精品

查看: 3042|回復: 3
打印 上一主題 下一主題

[提問] 程序錯誤,求助

[復制鏈接]
跳轉到指定樓層
樓主
發表于 2011-10-12 15:52:37 | 只看該作者 回帖獎勵 |倒序瀏覽 |閱讀模式
六十進制計數器
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;

entity counter_60 is
        port(clk,bcd1wr,bcd10wr,cin:in std_logic;
                 co: out std_logic;
                 datain: in std_logic_vector(3 downto 0);
                 bcd1: out std_logic_vector(3 downto 0);
                 bcd10: out std_logic_vector(2 downto 0));
end counter_60;

architecture rtl of counter_60 is
signal bcd1n:std_logic_vector(3 downto 0);
signal bcd10n:std_logic_vector(2 downto 0);
begin
        bcd1<=bcd1n;
        bcd10<=bcd10n;
        process(clk,bcd1wr,datain) is
        begin
                if(bcd1wr='1') then
                        bcd1n<=datain;
                elsif(clk'event and clk='1') then
                        if(cin='1') then
                                if(bcd1n=9) then
                                        bcd1n<="0000";
                                else
                                        bcd1n<=bcd1n+'1';
                                end if;
                        end if;
                end if;
        end process;
        
        process(clk,bcd10wr,datain) is
        begin
                if(bcd10wr='1') then
                        bcd10n<=datain(2 downto 0);
                elsif(clk'event and clk='1') then
                        if(cin='1' and bcd1n=9) then
                                if(bcd10n=5) then
                                        bcd10n<="000";
                                else
                                        bcd10n<=bcd10n+'1';
                                end if;
                        end if;
                end if;
        end process;
        
        process(bcd10n,bcd1n,cin) is
        begin
                if((cin='1') and (bcd1n=9) and (bcd10n=5)) then
                        co<='1';
                else
                        co<='0';
                end if;
        end process;
end rtl;
可以編譯,但是仿真不合適,仿真不計數,請各位老師同仁指正,萬分感謝
沙發
發表于 2011-10-13 20:13:25 | 只看該作者
可能你這個程序應該是沒有初始化初始值吧,
板凳
發表于 2011-10-19 14:01:56 | 只看該作者
請檢查你的程序邏輯是否有誤?
地板
發表于 2011-11-2 13:54:50 | 只看該作者
仿真時,輸入信號定義時要給初始值
您需要登錄后才可以回帖 登錄 | 立即注冊

本版積分規則

關于我們  -  服務條款  -  使用指南  -  站點地圖  -  友情鏈接  -  聯系我們
電子工程網 © 版權所有   京ICP備16069177號 | 京公網安備11010502021702
快速回復 返回頂部 返回列表
主站蜘蛛池模板: 九九热视频精品在线 | 日本高清免费中文字幕不卡 | swag国产精品 | a天堂中文在线 | 日韩在线视频在线观看 | 国产一有一级毛片视频 | 青青青免费手机版视频在线观看 | 天天干天天操天天摸 | 亚洲乱轮视频 | 99久久免费精品国产免费高清 | 青青草在视线频久久 | 综合成人| 久久久免费精品视频 | 久久久国产精品免费看 | 亚洲福利在线播放 | 69视频免费在线观看 | 好男人好手机视频在线影视 | 黄色网页在线看 | tom影院亚洲国产日本一区 | 久久九九有精品国产56 | 四虎a级欧美在线观看 | 99在线视频网站 | 三级毛片在线免费观看 | 特黄特色的视频免费播放 | 欧美一区二区放荡人妇 | 亚洲欧美一区二区三区在线播放 | 国产欧美亚洲专区第一页 | 久久久久久国产精品免费免费 | 老子影院午夜伦不卡不四虎卡 | 日本高清一区 | 99热视| 日本中文字幕永久在线 | 在线国产日韩 | 99re8在线这里只有精品 | 91麻精品国产91久久久久 | 两个人在线看视频 | 西瓜影院在线观看理论片 | 97视频免费公开成人福利 | 大伊香蕉精品视频在线天堂 | 国产精品视频一区二区三区经 | 亚洲日本欧美产综合在线 |